📜  如何在 VHDL 中定义无符号信号 - 无论代码示例

📅  最后修改于: 2022-03-11 14:59:02.042000             🧑  作者: Mango

代码示例1
signal  : unsigned ( Downto 0) : = ;