📜  vhdl 向量集 0 - 任何代码示例

📅  最后修改于: 2022-03-11 14:56:55.481000             🧑  作者: Mango

代码示例1
Bus_S <= (others => '0'); -- set vector to zeros
Bus_S(0) <= value; -- assign value to bit 0