📜  vhdl 全为零 - 无论代码示例

📅  最后修改于: 2022-03-11 15:00:05.450000             🧑  作者: Mango

代码示例1
Bus_S <= (others => '0'); -- set vector to zeros
Bus_S(0) <= value; -- assign value to bit 0