📜  Mod 2 环形计数器(带 D 触发器)

📅  最后修改于: 2021-09-16 10:42:33             🧑  作者: Mango

环形计数器是移位寄存器最重要的应用之一。它们是通过将多个触发器相互连接(这样一个触发器的输出是另一个触发器的输入)并将最后一个触发器的输出连接到第一个触发器的输入来创建的。

对于 mod 2 环形计数器,需要两个触发器。回想一下,环形计数器可以计数的状态数等于正在使用的触发器的数量。由于 mod 2 环形计数器最多可以计数 2 个状态,因此需要 2 个触发器。

由于环形计数器是同步计数器,时钟需要处于“ON”状态,使得状态转换可以发生和环形计数器可以正常函数。

电路图 :

从图中我们可以看出 D1 = Q0 和 D0 = Q1

桌子 :
为方便起见,这里结合励磁表和状态表。

状态图: